网站首页 站内搜索

搜索结果

查询Tags标签: SVPWM,共有 6条记录
  • fpga svpwm算法 fpga svpw算法

    fpga svpwm算法 fpga svpw算法,矢量调制调制基于FPGA,具有过调制,同步调制,异步调制功能。 带死区输出模块,主图为io口直接滤波后的效果。id=589131024818&

    2021/12/14 20:18:59 人评论 次浏览
  • fpga svpwm算法 fpga svpw算法

    fpga svpwm算法 fpga svpw算法,矢量调制调制基于FPGA,具有过调制,同步调制,异步调制功能。 带死区输出模块,主图为io口直接滤波后的效果。id=589131024818&

    2021/12/14 20:18:59 人评论 次浏览
  • 手写最简单的simplefoc的svpwm算法(便于理解)

    此帖子用于记录学习过程中写的程序(半成品)。 1.arduino代码: test.ino // (010)U2 II U6(110) // ********* // III * * * * I // * * * * // (011)U3 *************** U4(100) // * * * * // …

    2021/12/10 22:16:53 人评论 次浏览
  • 手写最简单的simplefoc的svpwm算法(便于理解)

    此帖子用于记录学习过程中写的程序(半成品)。 1.arduino代码: test.ino // (010)U2 II U6(110) // ********* // III * * * * I // * * * * // (011)U3 *************** U4(100) // * * * * // …

    2021/12/10 22:16:53 人评论 次浏览
  • DSP 三电平SVPWM调制算法详解

    空间矢量图 Step1 扇区判定 Step2 区域判定 Step3 矢量作用时间计算 调制时间波形SVPWM代码 #define PI_1_3 1.0471975512 #define sqrt_3 1.7320508076 #define sqrt_3_p2 3.4641016151377545870548926830117 #define sqrt_3_1 0.577350269 #define PI_D_6 0.52359877…

    2021/7/29 12:05:55 人评论 次浏览
  • DSP 三电平SVPWM调制算法详解

    空间矢量图 Step1 扇区判定 Step2 区域判定 Step3 矢量作用时间计算 调制时间波形SVPWM代码 #define PI_1_3 1.0471975512 #define sqrt_3 1.7320508076 #define sqrt_3_p2 3.4641016151377545870548926830117 #define sqrt_3_1 0.577350269 #define PI_D_6 0.52359877…

    2021/7/29 12:05:55 人评论 次浏览
扫一扫关注最新编程教程