Verilog 练习 反相器

2021/11/1 23:10:52

本文主要是介绍Verilog 练习 反相器,对大家解决编程问题具有一定的参考价值,需要的程序猿们随着小编来一起学习吧!

 代码如下

//2021-11-1
//反相器
`timescale 1ns/10ps
module inv(A,Y);
output Y;
input A;

assign Y=~A;

endmodule



//----testbench of inv------
module inv_tb;
reg aa;
wire yy;
inv  inv(.A(aa),.Y(yy));

initial begin
	aa=0;
	#10	aa=1;
	#10	aa=0;
	#10	aa=1;
	#10	$stop;
end 

endmodule

仿真结果如下

 



这篇关于Verilog 练习 反相器的文章就介绍到这儿,希望我们推荐的文章对大家有所帮助,也希望大家多多支持为之网!


扫一扫关注最新编程教程