网站首页 站内搜索

搜索结果

查询Tags标签: signal,共有 115条记录
  • 居然还有一种叫做超分辨率的技术

    居然还有一种叫做超分辨率的技术arXiv:2208.12052 [pdf, ps, other]Super-resolution wavefront reconstructionSylvain Oberti, Carlos Correia, Thierry Fusco, Benoit Neichel, Pierre GuiraudComments: Astronomy & Astrophysics Section: 13. Astronomical instr…

    2022/8/30 23:25:00 人评论 次浏览
  • Linux并发

    一、信号1、信号的概念   信号是软件中断。   信号的响应依赖于中断。 2、signal();   kill -l信号(标准信号/实时信号)   typedef void (*sighandler_t)(int);   sighandler_t signal(int signum, sighandler_t handler);   信号会打断…

    2022/7/16 5:20:08 人评论 次浏览
  • linux - trap 命令

    一句话简介 作用: 接收发送给当前进程的信号, 以后续处理 详解 使用: trap " your command " [signal] 两个参数接收到信号需要执行的命令 需要捕捉的信号有哪些信号可以发送 kill -l 可以查看所有的信号 这三个信号无法被捕捉:9 17 19[[linux - 信号 signal]] …

    2022/6/28 5:20:20 人评论 次浏览
  • 【转译】Linux中的信号(signal)

    本文是一篇科普文章,介绍什么是 Linux 信号,以及它的基本用法。原文链接见底部参考。Linux中有许多处于不同状态的进程。这些进程属于用户应用程序或操作系统。我们需要一种机制让内核和这些进程协调它们的活动。其中一种方式是在一个进程有重大改变时通知其他进程,因此…

    2022/4/24 7:15:00 人评论 次浏览
  • 交替打印问题

    题目描述 启动两个线程,交替打印1-100,不允许使用AtomicInteger等 代码package com.daleyzou.Test;import java.util.concurrent.locks.Condition; import java.util.concurrent.locks.ReentrantLock;/*** Test1* @description* 启动两个线程,交替打印1-100,不允许使用…

    2022/4/20 23:18:17 人评论 次浏览
  • An Imperfect Dopaminergic Error Signal Can Drive Temporal-Difference Learning

    郑重声明:原文参见标题,如有侵权,请联系作者,将会撤销发布!PLOS COMPUTATIONAL BIOLOGY, (2011)Abstract

    2022/4/13 23:13:51 人评论 次浏览
  • 14.线程通信阻塞队列版(lock, await, signal)

    1.代码package com.mydemo;import java.util.concurrent.locks.Condition; import java.util.concurrent.locks.Lock; import java.util.concurrent.locks.ReentrantLock;public class TraditionalProducerConsumerDemo {public static void main(String[] args) {ShareDa…

    2022/4/13 23:13:12 人评论 次浏览
  • Prevent screen saver from running by simulate keyboard events using windows API

    The condition of triggering screen saver to run is that no input event of any input device in a period of time. The key idea is that we can simulate keyboard event periodically to prevent screen saver ro run. The Windows API to simulate keyboard event…

    2022/4/6 7:21:21 人评论 次浏览
  • 进程间通信(二十三)——信号底层API:sigaction

    信号底层API:sigaction linux中信号的分类 标准信号及其不可靠性 标准信号 1-31号信号,也叫不可靠信号,继承UNIX信号,采用位图管理如果同时来相同的信号来不及处理,内核会丢弃掉实时信号 32~64号信号,是可靠的,采用队列管理来一次,处理一次,转发一次 信号处理机制…

    2022/3/22 7:28:39 人评论 次浏览
  • python小技巧总结

    1. 下面这个 Python 程序向您展示了捕获信号SIGINT 并忽略它的基本操作,它并不会让程序停止。为了停止这个程序,我们需要使用SIGQUIT 信号,通过输入Ctrl-\可以发送该信号。#!/usr/bin/env python import signal, timedef handler(signum, time):print("\nI got a …

    2022/3/2 20:19:02 人评论 次浏览
  • C++进阶学习--多线程/多进程下的信号处理

    目录 一、引言 二、多进程下信号的使用 ------> 2.1、信号的处理流程 ------> 2.2、安装登记 ------> 2.3、信号集操作函数 ------> 2.4、设置信号屏蔽位函数 ------> 2.5、查询被搁置(未决)信号函数 ------> 2.6、总结 三、多线程下信号的使用 -----…

    2022/2/22 7:23:34 人评论 次浏览
  • Mini2440之linux驱动移植-信号驱动IO模型

    在上一节中我们介绍了linux下的五种IO模型:阻塞IO; 非阻塞IO; IO多路复用模型; 信号驱动IO模型; 异步IO;并介绍了poll机制在按键驱动中的使用,这一节我们将重点介绍信号驱动IO模型如何在按键驱动的例子中的使用。 一、信号驱动IO模型 1.1 什么是信号驱动IO模型 我们…

    2022/2/19 7:11:46 人评论 次浏览
  • linux signal misc

    linux signal misc 在user space常使用的signal相关的API 1. 在user space注册信号处理函数 sigaction()函数prototype: int sigaction(int signum, const struct sigaction *act,struct sigaction *oldact);struct sigaction sa_usr;sa_usr.sa_flags = 0;sa_usr.sa_han…

    2022/2/4 7:17:13 人评论 次浏览
  • OFDM系统仿真【matlab源码】

    实验原理 链接: https://blog.csdn.net/qq_44394952/article/details/122508495. OFDM.m // clear all; close all; carrier_count = 200; % 子载波数 symbol_count = 100; %总符号数 ifft_length = 512; % IFFT长度 CP_length = 128; % 循环前缀 CS_length = 20; % 循环后…

    2022/1/15 14:04:26 人评论 次浏览
  • OFDM系统仿真【matlab源码】

    实验原理 链接: https://blog.csdn.net/qq_44394952/article/details/122508495. OFDM.m // clear all; close all; carrier_count = 200; % 子载波数 symbol_count = 100; %总符号数 ifft_length = 512; % IFFT长度 CP_length = 128; % 循环前缀 CS_length = 20; % 循环后…

    2022/1/15 14:04:26 人评论 次浏览
共115记录«上一页1234...8下一页»
扫一扫关注最新编程教程